阅读 242

Verilog流水线控制器-valid与ready的握手机制

1        功能描述:

  在我们的流水线设计中有 5 个pipe stages。这意味着在 5 个时钟周期后可以在输出端口观察到输入数据,所有阶段都必须准备好同时进行。当 out_rdy 无效时,必须保留输出 vld & data 直到 out_rdy 有效。如果out_rdy 无效并且所有pipe stage都处于busy状态,则必须使in_rdy 无效以通知前一stage保留数据。当 out_rdy 无效时,必须消除管道气泡。

所有信号均由时钟的上升沿触发。 所有输出必须是触发器输出(in_rdy 除外)。

2        Interface description

Input:

       clk         // clock

       rst_n         // reset signal, active low

       in_data[15:0] // input data

       in_vld        // input data valid

       out_rdy        // out data ready(The next stage not full)

Output:

out_data[15:0] // output data

       out_vld       // output data valid

       out_rdy       // in data ready (current stage not full)

3         Block diagram

 

 

4         Timing specification

5         Verilog RTL

6         Verilog Testbench

7    Test wave form

 

原文:https://www.cnblogs.com/will-w/p/15070340.html

文章分类
代码人生
版权声明:本站是系统测试站点,无实际运营。本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件至 XXXXXXo@163.com 举报,一经查实,本站将立刻删除。
相关推荐